%0 Journal Article %T Microarchitecture Analysis of Profile 1 eSTREAM Ciphers on Intel Core2 Duo %J Advances in Computing %@ 2163-2979 %D 2012 %I %R 10.5923/j.ac.20120202.02 %X The workloads in a modern CPU are becoming more diversified but a common aspect is beginning to form around the need for cryptographic algorithms.There are a number of different cryptographic algorithms for hashing, block ciphers and stream ciphers.Block ciphers have recently been linked to the DES and AES standards and are the most widely used algorithms.Stream ciphers have not been dominated by a single standard.The EU ECRYPT network has developed a set of stream cipher as part of their eSTREAM portfolio. This paper examines the eSTREAM portfolio and analysis the microarchitecture performance on the Intel Core2 Duo.Using hardware performance counters analysis is done in the areas of CPI, cache, branch prediction, and instruction-level parallelism (ILP).Our results show that the Salsa20 algorithm has the best CPI, sosemanuk is able to achieve more ILP, and branch prediction is highly accurate for HC-120, Rabbit and Salsa20. The results show the correlation between CPI with L1 cache, L2 cache, branch prediction, and ILP. %K Performance Evaluation %K Hardware Counters %K Workload Characterization %K eSTREAM %U http://article.sapub.org/10.5923.j.ac.20120202.02.html