全部 标题 作者
关键词 摘要

OALib Journal期刊
ISSN: 2333-9721
费用:99美元

查看量下载量

相关文章

更多...

Dise o e Implementación de un circuito combinacional basado en lenguaje de descripción de hardware VHDL para un robot móvil multifuncional

Keywords: Arreglos Lógicos Programable , Lenguaje de Descripción de Hardware VHDL , Lógica Combinacional , Robótica móvil , Seguidor de Línea , Seguidor de Luz

Full-Text   Cite this paper   Add to My Lib

Abstract:

El presente artículo muestra el dise o e implementación de un robot móvil multifuncional: Seguidor de línea, seguidor de luz y evasor de obstáculos, producto del desarrollo de la práctica de laboratorio correspondiente a la asignatura de Circuitos Digitales I del cuarto semestre académico del Programa de Ingeniería Electrónica de la Universidad de Cundinamarca. El objetivo general de la práctica de laboratorio es identificar y aprender la importancia del desarrollo de aplicaciones basadas en circuitos digitales programables PLD, abordando los conceptos de Lenguaje de Descripción de Hardware VHDL y herramientas de desarrollo como ISPLever Starter de la empresa Lattice Semiconductors. Los resultados obtenidos permitieron desarrollar habilidades para el dise o de aplicaciones basadas en lógica combinacional para una aplicación en particular del área de Robótica, y además comprender las ventajas con respecto a la implementación de aplicaciones digitales utilizando circuitos digitales cableados convencionales. El dispositivo lógico programable utilizado para el control del robot móvil fue la GAL16V8B, el sensor CNY70 fue utilizado para la detección de línea, un par de fotoceldas como sistema para detección de luz y para detección de obstáculos unos interruptores tipo bumper.

Full-Text

comments powered by Disqus

Contact Us

service@oalib.com

QQ:3279437679

WhatsApp +8615387084133