全部 标题 作者
关键词 摘要

OALib Journal期刊
ISSN: 2333-9721
费用:99美元

查看量下载量

相关文章

更多...

Frequency Optimization Objective during System Prototyping on Multi-FPGA Platform

DOI: 10.1155/2013/853510

Full-Text   Cite this paper   Add to My Lib

Abstract:

Multi-FPGA hardware prototyping is becoming increasingly important in the system on chip design cycle. However, after partitioning the design on the multi-FPGA platform, the number of inter-FPGA signals is greater than the number of physical connections available on the prototyping board. Therefore, these signals should be time-multiplexed which lowers the system frequency. The way in which the design is partitioned affects the number of inter-FPGA signals. In this work, we propose a set of constraints to be taken into account during the partitioning task. Then, the resulting inter-FPGA signals are routed with an iterative routing algorithm in order to obtain the best multiplexing ratio. Indeed, signals are grouped and then routed using the intra-FPGA routing algorithm: Pathfinder. This algorithm is adapted to deal with the inter-FPGA routing problem. Many scenarios are proposed to obtain the most optimized results in terms of prototyping system frequency. Using this technique, the system frequency is improved by an average of 12.8% compared to constructive routing algorithm. 1. Introduction With the ever increasing complexity of system on chip circuits, the software and hardware developers can no longer wait for the fabrication phase to test their designs [1]. Currently, it is estimated that 60 to 80 percent of an ASIC design is spent in performing verification [2]. FPGA-based prototyping is an important step in the creation of the final product and it is the key to the success of marketing in time. The key advantage of FPGA-based prototyping is the ability to run at high speed (sometimes at almost real-time speed) a cycle-accurate, bit-accurate model of the SoC [3]. The availability of automatic FPGA mapping tools has streamlined the design conversion process, making the path from ASIC design to FPGA implementation more straightforward. When the logic capacity of a single FPGA is less than the size of the design under test, a multi-FPGA platform is used to map the entire design. Because the silicon area overhead of FPGA versus ASIC technology has been measured to be about 40x [4], FPGA programming technology requires that an ASIC logic design be partitioned across multiple FPGA devices to achieve the necessary device logic capacity. The number of FPGAs depends on the size of the prototyping system, ranging from a few [5] up to 60 FPGAs [6]. In order to map the design into a multi-FPGA board, a partitioning tool decomposes the design into pieces that will fit within the logic resources of individual FPGA devices. Partitioning is often performed to

References

[1]  C. Huang, Y. Yin, and C. Hsu, “SoC HW/SW verification and validation,” in Proceedings of the 16th Asia and South Pacific Design Automation Conference (ASP-DAC '11), pp. 297–300, January 2011.
[2]  M. Santarini, “ASIC prototyping: make versus buy,” EDN, vol. 50, no. 24, pp. 30–40, 2005.
[3]  D. Amos, A. Lesea, and R. Richter, FPGA-Based Prototyping Methodology Manual, Synopsys, 2011.
[4]  I. Kuon and J. Rose, “Measuring the gap between FPGAs and ASICs,” in Proceedings of the 14th ACM/SIGDA International Symposium on Field Programmable Gate Arrays, pp. 21–30, February 2006.
[5]  H. Krupnova, “Mapping multi-million gate SoCs on FPGAs: industrial methodology and experience,” in Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE '04), vol. 2, pp. 1236–1241, February 2004.
[6]  S. Asaad, R. Bellofatto, B. Brezzo et al., “A cycle-accurate, cycle-reproducible multi-FPGA system for accelerating multi-core processor simulation,” in Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA '12), pp. 153–162, February 2012.
[7]  J. Babb, R. Tessier, M. Dahl, S. Z. Hanono, D. M. Hoki, and A. Agarwal, “Logic emulation with virtual wires,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 16, no. 6, pp. 609–626, 1997.
[8]  L. McMurchie and C. Ebeling, “PathFinder: a negotiation-based performance-driven router for FPGAs,” in Proceedings of the International Workshop on Field Programmable Gate Array, pp. 111–117, February 1995.
[9]  A. Ejnioui and N. Ranganathan, “Multiterminal net routing for partial crossbar-based multi-FPGA systems,” IEEE Transactions on Very Large Scale Integration Systems, vol. 11, no. 1, pp. 71–78, 2003.
[10]  X. Song, W. N. N. Hung, A. Mishchenko, M. Chrzanowska-Jeske, A. Kennings, and A. Coppola, “Board-level multiterminal net assignment for the partial cross-bar architecture,” IEEE Transactions on Very Large Scale Integration ystems, vol. 11, no. 3, pp. 511–513, 2003.
[11]  W. Mak and D. F. Wong, “Board-level multiterminal net routing for FPGA-based logic emulation,” ACM Transactions on Design Automation of Electronic Systems, vol. 2, no. 2, pp. 151–157, 1997.
[12]  W. Mak and D. F. Wong, “On optimal board-level routing for FPGA-based logic emulation,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 16, no. 3, pp. 282–289, 1997.
[13]  J. Babb, R. Tessier, and A. Agarwal, “Virtual wires: overcoming pin limitations in FPGA-based logic emulators,” in Proceedings of the IEEE Workshop on FPGAs for Custom Computing Machines (FCCM '93), pp. 142–151, April 1993.
[14]  R. Tessier, J. Babb, M. Dahl, et al., “The virtual wires emulation system: a gate-efficient ASIC prototyping environement,” in Proceedings of the International Workshop on Field-Programmable Gate Array, ACM, Berkeley, Calif, USA, February 1994.
[15]  M. Inagi, Y. Takashima, Y. Nakamura, and A. Takahashi, “Optimal time-multiplexing in inter-FPGA connections for accelerating multi-FPGA prototyping systems,” IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences A, vol. E91, no. 12, pp. 3539–3547, 2008.
[16]  M. Inagi, Y. Takashima, and Y. Nakamura, “Globally optimal time-multiplexing in inter-FPGA connections for accelerating multi-FPGA systems,” in Proceedings of the 19th International Conference on Field Programmable Logic and Applications (FLP '09), pp. 212–217, September 2009.
[17]  [Online], http://www.dinigroup.com/new/products.php.
[18]  Synopsys FPGA Synthesis User Guide, 2011.
[19]  [online], http://www.flexras.com/.
[20]  T. H. Cormen, C. E. Leiserson, R. L. Rivest, and C. Stein, Introduction to Algorithms, MIT Press, London, UK, 2001.
[21]  M. Turki, Z. Marrakchi, H. Mehrez, and M. Abid, “Iterative routing algorithm of Inter-FPGA signals for Multi-FPGA prototyping platform,” in Proceedings of the 9th international conference on Reconfigurable Computing (ARC '13), Los Angeles, Calif, USA, March 2013.
[22]  M. Turki, Z. Marrakchi, H. Mehrez, and M. Abid, “Towards synthetic benchmarks generator for CAD tool evaluation,” in Proceedings of the 8th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME '12), 2012.
[23]  [Online], http://www.synopsys.com/Systems/FPGABasedPrototyping/Pages/Certify.aspx.
[24]  Certify Partition Driven Synthesis, User Guide, March 2011, p. 153.

Full-Text

comments powered by Disqus

Contact Us

service@oalib.com

QQ:3279437679

WhatsApp +8615387084133

WeChat 1538708413