全部 标题 作者
关键词 摘要

OALib Journal期刊
ISSN: 2333-9721
费用:99美元

查看量下载量

相关文章

更多...

几种常见近似加法器的比较
Comparison of Several Common Approximate Adders

DOI: 10.12677/OJCS.2021.103003, PP. 15-23

Keywords: 近似加法器,容错,进位跳跃,Verilog HDL语言
Approximate Adder
, Fault Tolerant, Carry Jump, Verilog HDL Language

Full-Text   Cite this paper   Add to My Lib

Abstract:

加法器作为重要的算术模块,在决定运算速度和功耗方面起着关键作用。对运算速度和效率的需求以及一些应用的容错特性促进了近似加法器的发展。传统加法器一般采用精确加法运算,电路面积、功耗均较大。近年出现了一种新兴的电路设计方法——近似加法计算,通过简化电路适当降低计算精度,最终实现面积、功耗、延时与精度的折中。本文比较了目前国内外主流的近似加法器设计,并在误差和电路特性方面进行了比较评估。仿真结果表明,LOA由于完全利用逻辑或门进行低位运算,面积最小,功耗也最小,但未考虑精度的问题,错误率最高;ETAII和ACA面积比LOA稍大,功耗也相应增加,并且设计时考虑了精度,使得错误率降低;ACA在延时方面优势最突出;SCSA配置了窗口加法器,面积与功耗更大,这也使其精度得到了更大的提升。
As an important arithmetic module, the adder plays a key role in determining the operation speed and power consumption. The demand for computing speed and efficiency and the fault tolerance of some applications have promoted the development of approximate adders. Traditional adders generally use precise addition operations, and the circuit area and power consumption are relatively large. In recent years, a new circuit design method—approximate addition calculation, has appeared. By simplifying the circuit, the calculation accuracy is appropriately reduced, and finally the area, power consumption, delay and accuracy are compromised. This article compares the current mainstream approximate adder designs at home and abroad, and compares and evaluates the errors and circuit characteristics. The simulation results show that the LOA has the smallest area and the lowest power consumption due to the full use of logic or gates for low-bit operations, but the accuracy is not considered, and the error rate is the highest; ETAII and ACA have a slightly larger area than LOA, and the power consumption increases accordingly, and accuracy is considered in the design, which reduces the error rate; ACA has the most prominent advantage in terms of delay; SCSA is equipped with a window adder, which has a larger area and power consumption, which also improves its accuracy.

References

[1]  Han, J. and Orshansky, M. (2013) Approximate Computing: An Emerging Paradigm for Energy-Efficient Design. 2013 18th IEEE European Test Symposium (ETS), Avignon, 27-30 May 2013, 1-6.
https://doi.org/10.1109/ETS.2013.6569370
[2]  Xu, Q., Mytkowicz, T. and Kim, N.S. (2015) Approximate Computing: A Survey. IEEE Design & Test, 33, 8-22.
https://doi.org/10.1109/MDAT.2015.2505723
[3]  Venkataramani, S., Chakradhar, S.T., Roy, K., et al. (2015) Approximate Computing and the Quest for Computing Efficiency. 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), San Francisco, 7-11 June 2015, 1-6.
https://doi.org/10.1145/2744769.2751163
[4]  Agrawal, A., Choi, J., Gopalakrishnan, K., et al. (2016) Approximate Computing: Challenges and Opportunities. 2016 IEEE International Conference on Rebooting Computing (ICRC), San Diego, 17-19 October 2016, 1-8.
https://doi.org/10.1109/ICRC.2016.7738674
[5]  Khudia, D.S., Zamirai, B., Samadi, M., et al. (2015) Rumba: An Online Quality Management System for Approximate Computing. Proceedings of the 42nd Annual International Symposium on Computer Architecture, Portland, 13-17 June 2015, 554-566.
https://doi.org/10.1145/2749469.2750371
[6]  Chippa, V.K., Venkataramani, S., Chakradhar, S.T., et al. (2013) Approximate Computing: An Integrated Hardware Approach. 2013 Asilomar Conference on Signals, Systems and Computers, Pacific Grove, 3-6 November 2013, 111-117.
https://doi.org/10.1109/ACSSC.2013.6810241
[7]  Mohapatra, D., Chippa, V.K., Raghunathan, A., et al. (2011) Design of Voltage-Scalable Meta-Functions for Approximate Computing. 2011 Design, Automation & Test in Europe IEEE, Grenoble, 14-18 March 2011, 1-6.
https://doi.org/10.1109/DATE.2011.5763154
[8]  Liu, W., Lombardi, F. and Shulte, M. (2020) A Retrospective and Prospective View of Approximate Computing [Point of View]. Proceedings of the IEEE, 108, 394-399.
https://doi.org/10.1109/JPROC.2020.2975695
[9]  Yazdanbakhsh, A., Mahajan, D., Esmaeilzadeh, H., et al. (2016) AxBench: A Multiplatform Benchmark Suite for Approximate Computing. IEEE Design & Test, 34, 60-68.
https://doi.org/10.1109/MDAT.2016.2630270
[10]  Zhang, Q., Yuan, F., Ye, R., et al. (2014) Approxit: An Approximate Computing Framework for Iterative Methods. Proceedings of the 51st Annual Design Automation Conference, San Francisco, 2-5 June 2014, 1-6.
https://doi.org/10.1145/2593069.2593092
[11]  Vassiliadis, V., Riehme, J., Deussen, J., et al. (2016) Towards Automatic Significance Analysis for Approximate Computing. 2016 IEEE/ACM International Symposium on Code Generation and Optimization (CGO), Barcelona, 12-18 March 2016, 182-193.
https://doi.org/10.1145/2854038.2854058
[12]  Venkataramani, S., Ranjan, A., Roy, K., et al. (2014) AxNN: Energy-Efficient Neuromorphic Systems Using Approximate Computing. 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), La Jolla, 11-13 August 2014, 27-32.
https://doi.org/10.1145/2627369.2627613
[13]  Pashaeifar, M., Kamal, M., Afzali-Kusha, A., et al. (2018) Approximate Reverse Carry Propagate Adder for Energy-Efficient DSP Applications. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26, 2530-2541.
https://doi.org/10.1109/TVLSI.2018.2859939
[14]  Soares, L.B., Bampi, S. and Costa, E. (2015) Approximate Adder Synthesis for Area- and Energy-Efficient FIR Filters in CMOS VLSI. 2015 IEEE 13th International New Circuits and Systems Conference (NEWCAS), Grenoble, 7-10 June 2015, 1-4.
https://doi.org/10.1109/NEWCAS.2015.7182095
[15]  Ebrahimi-Azandaryani, F., Akbari, O., Kamal, M., et al. (2019) Block-Based Carry Speculative Approximate Adder for Energy-Efficient Applications. IEEE Transactions on Circuits and Systems II: Express Briefs, 67, 137-141.
https://doi.org/10.1109/TCSII.2019.2901060
[16]  Lee, J., Seo, H., Kim, Y., et al. (2020) Approximate Adder Design with Simplified Lower-Part Approximation. IEICE Electronics Express, 17, Article ID: 20200218.
https://doi.org/10.1587/elex.17.20200218
[17]  Ban, T., Wang, B. and Naviner, L. (2018) Design, Synthesis and Application of a Novel Approximate Adder. 2018 IEEE 61st International Midwest Symposium on Circuits and Systems (MWSCAS), Windsor, 5-8 August 2018, 488-491.
https://doi.org/10.1109/MWSCAS.2018.8624023
[18]  Kim, Y., Zhang, Y. and Li, P. (2013) An Energy Efficient Approximate Adder with Carry Skip for Error Resilient Neuromorphic VLSI Systems. 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Jose, 18-21 November 2013, 130-137.
https://doi.org/10.1109/ICCAD.2013.6691108
[19]  Temel, M., Slobodova, A. and Hunt, W.A. (2020) Automated and Scalable Verification of Integer Multipliers. In: International Conference on Computer Aided Verification, Springer, Cham, 485-507.
https://doi.org/10.1007/978-3-030-53288-8_23
[20]  Chippa, V.K., Mohapatra, D., Raghunathan, A., et al. (2010) Scalable Effort Hardware Design: Exploiting Algorithmic Resilience for Energy Efficiency. Design Automation Conference IEEE, Anaheim, 13-18 June 2010, 555-560.
https://doi.org/10.1145/1837274.1837411
[21]  Vasicek, Z. and Sekanina, L. (2014) Evolutionary Approach to Approximate Digital Circuits Design. IEEE Transactions on Evolutionary Computation, 19, 432-444.
https://doi.org/10.1109/TEVC.2014.2336175
[22]  Mrazek, V., Sarwar, S.S., Sekanina, L., et al. (2016) Design of Power-Efficient Approximate Multipliers for Approximate Artificial Neural Networks. Proceedings of the 35th International Conference on Computer-Aided Design, Austin, 7-10 November 2016, 1-7.
https://doi.org/10.1145/2966986.2967021
[23]  Sampson, A., Dietl, W., Fortuna, E., et al. (2011) EnerJ: Approximate Data Types for Safe and General Low-Power Computation. ACM SIGPLAN Notices, 46, 164-174.
https://doi.org/10.1145/1993316.1993518
[24]  Nguyen, D.T., Kim, H., Lee, H.J., et al. (2018) An Approximate Memory Architecture for a Reduction of Refresh Power Consumption in Deep Learning Applications. 2018 IEEE International Symposium on Circuits and Systems (ISCAS), Florence, 27-30 May 2018, 1-5.
https://doi.org/10.1109/ISCAS.2018.8351021
[25]  Chen, Y., Yang, X., Qiao, F., et al. (2016) A Multi-Accuracy-Level Approximate Memory Architecture Based on Data Significance Analysis. 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Pittsburgh, 11-13 July 2016, 385-390.
https://doi.org/10.1109/ISVLSI.2016.84
[26]  Swendsen, R.H. (1993) Modern Methods of Analyzing Monte Carlo Computer Simulations. Physica A: Statistical Mechanics and Its Applications, 194, 53-62.
https://doi.org/10.1016/0378-4371(93)90339-6
[27]  Srivastava, A. and Venkatapathy, K. (1996) Design and Implementation of a Low Power Ternary Full Adder. VLSI Design, 4, 75-81.
https://doi.org/10.1155/1996/94696
[28]  Yao, T., Gao, D. and Fan, X. (2012) Three-Operand Floating-Point Adder. IEEE International Conference on Computer & Information Technology, Chengdu, 27-29 October 2012, 192-196.
[29]  Shafique, M., Ahmad, W., Hafiz, R., et al. (2015) A Low Latency Generic Accuracy Configurable Adder. 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), San Francisco, 7-11 June 2015, 1-6.
https://doi.org/10.1145/2744769.2744778
[30]  Kai, D., Varman, P. and Mohanram, K. (2012) High Performance Reliable Variable Latency Carry Select Addition. 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, 12-16 March 2012, 1257-1262.
https://doi.org/10.1109/DATE.2012.6176685
[31]  Chirca, K., Schulte, M., Glossner, J., et al. (2004) A Static Low-Power, High-Performance 32-bit Carry Skip Adder. Euromicro Symposium on Digital System Design, Rennes, 31 August-3 September 2004, 615-619.
https://doi.org/10.1109/DSD.2004.1333335
[32]  Jiang, H., Liu, C., Liu, L., et al. (2017) A Review, Classification, and Comparative Evaluation of Approximate Arithmetic Circuits. ACM Journal on Emerging Technologies in Computing Systems (JETC), 13, 1-34.
https://doi.org/10.1145/3094124

Full-Text

comments powered by Disqus

Contact Us

service@oalib.com

QQ:3279437679

WhatsApp +8615387084133

WeChat 1538708413